CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl game

搜索资源列表

  1. ct

    1下载:
  2. 用vhdl做的一个简单的太空大战游戏,在hdle实验板上可以运行,在16*16点阵可以显示飞行器移动,障碍物下落效果-Vhdl to do with a simple space war game, the board can run in the hdle experiment, in 16* 16 dot matrix to display the vehicle move, obstacles fall effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2041069
    • 提供者:张春涛
  1. 61EDA_B365

    0下载:
  2. 乒乓球游戏电路设计 VHDL eda技术 课程设计-VHDL eda table tennis game circuit design course design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:315870
    • 提供者:王安
  1. pingpong

    0下载:
  2. 用VHDL写的一个乒乓球游戏机的源程序。-Use VHDL to write a table tennis game of the source.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:934785
    • 提供者:wyx006
  1. pinpong

    0下载:
  2. 这是一篇关于用VHDL语言实现乒乓球游戏机的论文,可应用于实际的乒乓球游戏比赛中。-This is an article on table tennis game with the VHDL language papers, a table tennis game can be applied to the actual game.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:157739
    • 提供者:李健
  1. snake

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏。利用有限状态机原理,对不同情况判断得到下一步操作参数。程序下载能够运行-VHDL language with the Snake game. Finite state machine theory, judging by the different operating parameters for the next step. Download to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1077915
    • 提供者:river
  1. 21POINT.tar

    0下载:
  2. 21点游戏的FPGA实现,使用VHDL语言,已经测试成功。-21-point game of the FPGA implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:325455
    • 提供者:heiscsy
  1. pingpangqiu

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:552640
    • 提供者:张继
  1. tanchishe

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏,在开发板上验证过,可以很好运行-Snake with VHDL language game, the development board verified, well run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1486649
    • 提供者:dingdanfei
  1. EDApingpongqiu

    0下载:
  2. 基于FPGA芯片,vhdl编写的乒乓球游戏,具有失球计数,指示乒乓球的方向,失球发声提示功能。-FPGA-based chip, vhdl writing table tennis game, with a clean sheet count, indicating the direction of table tennis, conceded voice prompts.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:317971
    • 提供者:
  1. pngpang(2)

    0下载:
  2. 用vhdl语言使用ise开发工具模拟两人乒乓球游戏,实现状态转换。-Ise vhdl language with development tools using two table tennis simulation game, to achieve the state transition.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1222
    • 提供者:李小小
  1. tanchishe

    0下载:
  2. 数字电路与逻辑设计综合实验,贪吃蛇游戏机的实验报告。本实验是用通过VHDL的代码编写,然后下载到EPM7128数字逻辑实验开发板上,用点阵显示老鼠,蛇,以及墙,用数码管显示倒计时以及得分的情况。最终实现老鼠的随机出现,蛇的移动以及吃老鼠得分,撞墙或触边即死。-Digital circuit and logic design experiment, experimental report of the Snake game consoles
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:262040
    • 提供者:张三
  1. vgaball

    0下载:
  2. 用VHDL编写的小球游戏代码,用VGA显示,模块对vga控制器有很好的移植性-Written by VHDL balls of the game code, VGA display, module to the VGA controller have very good portability...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3856785
    • 提供者:wu
  1. baheyouxiji

    1下载:
  2. 用vhdl实验板子实现用led灯和按钮实现拔河游戏,通过按键快慢来决定灯的移动顺序,从而获胜-bahe game for led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-04
    • 文件大小:5965824
    • 提供者:快乐王
  1. armandas-Plong-e2a4bd5

    0下载:
  2. Plong Game in VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:14694
    • 提供者:DuySir0
  1. pingponggames

    0下载:
  2. 乒乓球游戏机的设计,采用VHDL语言编写,有完整的设计要求,以及系统的实现完整代码-Table tennis game design using VHDL language, complete the design requirements, as well as the realization of the complete code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1042260
    • 提供者:wjc
  1. VHDL_Snake_Game

    1下载:
  2. 在FPGA开发板上用VHDL语言实现了贪吃蛇游戏,开发软件为quartus 2.这是详细的实验报告,包括源码-Snake game with VHDL FPGA development board, software development quartus 2 This is a detailed experimental report, including the source
  3. 所属分类:VHDL编程

    • 发布日期:2012-12-07
    • 文件大小:2142600
    • 提供者:李守宪
  1. Brick0

    0下载:
  2. Brick Game (VHDL) When square ball meets Bricks, brick will disappear and ball go on or reflects on some conditions,Scores be up. Just Test source Code, 4 Brick on Monitors. Have Good Luck.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4061
    • 提供者:龍 龍
  1. VVHDDLexampllH

    0下载:
  2. VHDL的49个源码实例,源码实例丰富,有计数器、状态机机、寄存器、汉明纠错码编码器、游戏源码程序源码 -49 of VHDL source instance, the source instance, counters, state machines machine registers, the Hamming error correction code encoder, the game source program source code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:45116
    • 提供者:CerisTao
  1. Utannchishhes

    0下载:
  2. 用VHDL语言编程与开发在EPM7128SLC8—15数电实验开发板上实现贪吃吃蛇游戏源码,附有EPM7128SLC8—15数电实验开发板的样图 -VHDL programming and development EPM7128SLC8-15 the number of electrical experimental development board greedy eating snake game source, accompanied by the development board
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-03
    • 文件大小:1076521
    • 提供者:空白
  1. Wbaheyouxijii

    0下载:
  2. 用vhdl写的拔河游戏机代码,,后缀名改为vhd即可 -Vhdl write the tug-of-war game code extension to vhd can
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-08
    • 文件大小:6184
    • 提供者:圣人
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com